IDDQ Measurement Based Diagnosis of Bridging Faults in Full Scan Circuits

نویسندگان

  • Sreejit Chakravarty
  • Sivaprakasam Suresh
چکیده

An algorithm for diagnosing two node bridging faults in static CMOS combinational circuits(full scan circuits) is presented. This algorithm uses results from I DDQ testing. The bridging faults considered can be between nodes that are outputs of a gate or internal nodes of a gates. Experimental results on all the ISCAS89 circuits show that I DDQ measurement based diagnosis using a small number of randomly generated vectors, is very eeective. Moreover, it is computationally feasible to diagnose such a large number of bridging faults when I DDQ measurement is used.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

A Dynamic Diagnostic Test Generation System for IDDQ Measurement Based Diagnosis of Bridging Faults

A paradigm for diagnosis, known as dynamic diagnosis, is defined. A systembased on this paradigm, for IDDQ measurement based diagnosis of bridging faults, is reported. Experimental evaluation of the performance of the system shows it to be substantially superior to existing systems, especially for larger circuits.

متن کامل

On IDDQ Measurement Based Analysis of Bridging Faults in CMOS Circuits1

An algorithmic paradigm for I DDQ measurement based analysis that target all two node bridging faults (BFs) in CMOS circuits is presented. In contrast to the pessimistic criteria used in our prior work, here we use the criteria for identifying I DDQ tests proposed in the literature by other researchers. Algorithms for simulation, diagnosis and I DDQ subset selection of BFs in combinational circ...

متن کامل

Genetic-algorithm-based test generation for current testing of bridging faults in CMOS VLSI circuits

An efficient automatic test pattern generator for IDDQ current testing of CMOS digital circuits is presented. The complete two-line bridging fault set is considered. Genetic algorithms are used to generate compact test sets. Experimental results for ISCAS85 and ISCAS89 benchmark circuits are presented.

متن کامل

Fault Characterization, Testability Issue and Design for Testability of Complementary Pass Transistor Logic Circuits

Testability analysis of basic and complex logic gates employing complementary pass transistor logic (CPL) under various single stuck faults is investigated. Results show that all stuck-on faults, bridging faults and more than 90% stuck-at faults in the basic CPL gates are only detectable by current monitoring generally known as IDDQ testing. It is also shown that all stuck-open faults in the ba...

متن کامل

GOLDENGATE: a fast and accurate bridging fault simulator under a hybrid logic/IDDQ testing environment

In this paper we describe GOLDENGATE a bridging fault simulator for cell-based digital VLSI circuits with the following features: 1. It targets both combinational and sequential circuits. 2. It simulates general (routing, adjacency, and intra-cell) realistic bridging faults e ciently through a table-based scheme. The pre-computed table contains accurate cell output voltage and IDDQ values obtai...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 1994